投稿须知
  《实验技术与管理》是中文核心期刊、RCCSE中国权威学术期刊,编辑出版工作坚持执行国家有关科技期刊的出版标准和规范。
   ...

基于FPGA的SPWM波形控制器设计

作者: 李晓宁

关键词: 正弦脉宽调制 交流调速 FPGA

摘要:正弦脉宽调制(SPWM)技术在交流调速系统中得到广泛应用,但SPWM的波形生成是应用中一个难点。该文基于SPWM的基本原理,采用Xilinx FPGA芯片XC3S400 SPARTEN 3设计一个三相SPWM波形控制器,并通过测试得到了良好的波形输出。仿真表明,时序满足设计要求。


上一篇:磁悬浮测试系统的数据采集与处理
下一篇:往复压缩机性能测试装置研制与运用

地址:北京市海淀区清华大学京公网安备 110402430053 号